Active-HDL Student Edition is based on version 9.3 (BUILD 2745.4995, 12/9/2013). This edition provides many new features and enhancements to increase the productivity. The goal of the Student Edition is to provide students better tools for their course work and projects.

Active-HDL Student Edition has a load-and-go type of license mechanism. This will allow students to use the tool immediately after the installation. They do not have to register the product in order to get the license. However, Active-HDL Student Edition build has the validity until December 31, 2015. After the build is expired, the latest release of Student Edition can be downloaded from the Aldec web site by simply registering yourself.

Active-HDL Student Edition is limited in terms of features in comparison to a commercial version of Active-HDL. Refer to the Limitations of the Student Edition section for details.

 

What's New in Version 9.3?

The following is a brief overview of new features and changes introduced to the commercial version of Active-HDL 9.3:

 

Licensing

 

Compiler and Simulator

NOTE: Due to internal changes in the compiler and simulator as well as updates in third-party tool libraries, all user-defined libraries should be re-compiled after the installation of Active-HDL 9.3. The installation program of version 9.3 delivers and installs only the updated system and vendor-specific libraries that do not require re-compilation after Active-HDL is installed.
All existing designs will not have any problems associated with re-compiling the libraries. If you update Active-HDL to version 9.3 and do not re-compile your design libraries, the following error message will be displayed in the Console window:

# ELBREAD: Warning: Files created by the old version of the compiler found.

# ELBREAD: Error: Library '<library_name>' has incompatible format. Recompile all library units.

 

VHDL Compilation and Simulation

  • The locally declared packages defined in IEEE Std 1076-2008 are supported. Package bodies and package declarations can be locally declared within the declarative region of an entity, architecture, subprogram, block and process statements, protected type body or in another package. This allows the visibility of the package to be restricted to the region in which the package is declared, e.g.:

entity tb is
end;

architecture arch of tb is
  package local_pkg is
   generic (a : integer);
   function func return integer;
end package;
package body local_pkg is
  function func return integer is
  begin
  return a;
  end function;
end package body;

begin
  process
  package local_inst_1 is new local_pkg generic map (a => 5);
    use local_inst_1.all;
begin
  wait for 1ns;
  report integer'image(func);
  wait;
end process;

process
package local_inst_2 is new local_pkg generic map (a => 6);
  use local_inst_2.all;
begin
  wait for 1ns;
  report integer'image(func);
  wait;
 end process;
end;

(SPT60820)

  • Generic global packages can be instantiated locally.

  • The width and precision fields of the FORMAT parameter are supported for the predefined TO_STRING function with the type REAL as a parameter. (SPT65503)

  • The for generate labels are supported in external names when the -relax argument of the acom command is used. (SPT66135)

  • The entities with generic types specified in the interface lists are supported. The generic types allow creating universal HDL models compliant with the generic programming approach. The functionality is coded independently of the input data types which can be specified later, during the component instantiation. (SPT60152, SPT60819, SPT64644, SPT65213, SPT65390)

  • The generic clauses of the entity and package declarations can contain the declarations of formal generic subprograms. When a unit is instantiated, the instantiation is supplied with an actual subprogram declaration and each call to the formal subprogram within the unit declaration corresponds to the actual subprogram of a given instance. This allows the user to pass to an instance a subprogram declaration that is compliant with actual types of the instance. The actual subprogram can implement any operation on objects whose type is defined with the generic type. Without the generic subprograms, the allowed operations would be limited to the operations defined for all actual types, such as: assignment, equality, and inequality.

Verilog Compilation and Simulation

SystemVerilog Compilation and Simulation

reg [10:0] r1;

task automatic t1();
  reg [10:0] r2;
  { r1, r2 } = 1;
endtask

interface iface;
endinterface

virtual iface readPort;

iface ii[10]();

initial
for(int i=0 ; i<10; i++) begin
readPort = ii[i];
end

module mod1

#(localparam H_B=3, L_B=0)

  (input wire [H_B:L_B] in,

  input wire clk, set, strb,

output logic [H_B:L_B] out);

endmodule

typedef struct {logic [15 : 0] v [3];int r; } Ts1;

typedef struct {shortint vv [3]; integer rr;} Ts2;

Ts1 s1;

Ts2 s2;

  initial  s2 = Ts2'(s1);

class C;
  rand logic [3:0] arr [15:5];
  constraint array_constraint {
    foreach(arr[i]) arr[i] inside {4'h1, 4'h2,4'ha,4'hb};
  }
endclass

(SPT63596, SPT61722)

SystemVerilog Assertions

Mixed-Language Compilation and Simulation

C/C++/SystemC Compilation and Simulation

 

Design Coverage

Coverage Database

Statement Coverage

Expression Coverage

Functional Coverage

Coverage Reports

 

Libraries

The following updates have been introduced to the system and vendor-specific libraries:

 

NOTE: For additional information about availability of discontinued libraries, please contact Aldec Technical Support at http://www.aldec.com/support. Please note, you will be asked to register if you have not already.

 

Design Flow Manager

 

NOTE: For additional information about availability of discontinued flowcharts, please contact Aldec Technical Support at http://www.aldec.com/support. Please note, you will be asked to register if you have not already.

 

Design Browser

 

Design Management

 

HDL Editor

 

Block Diagram Editor

 

State Diagram Editor

 

Accelerated Waveform Viewer

 

Advanced Dataflow

 

Scripts

The following changes have been introduced to the Active-HDL macro commands:

ba /tb/UUT/assert_test -passed -nonvacuous

The following command will disable breakpoints for all assertions that evaluate with extra failures or passes:

bad -all -failed -extra -passed

The following changes have been introduced to the environment of the Tcl interpreter:

The following changes have been introduced to the environment of the Perl interpreter:

The following changes have been introduced to the predefined Active-HDL environment variables:

 

IP Protection

 

Active-HDL Interfaces and Wizards

The following changes and improvements have been made to the import of third-party projects (File | Import):

The following changes and improvements have been made to the built-in Active-HDL simulation interfaces:

The following improvements have been made to the Active-HDL wizards:

The following changes and improvements have been made to the built-in third-party interfaces:

The following changes and improvements have been made to the built-in Active-HDL DSP interfaces:

 

Documentation

 

Others

 

Problems Corrected

Licensing

VHDL Compilation and Simulation

Verilog/SystemVerilog Compilation and Simulation

Mixed-language Simulation

PSL Assertion Verification

Assertion Viewer

Libraries

Debugging

Design Coverage

Design Flow Manager

Design Browser

Design Management

Block Diagram Editor

State Diagram Editor

Standard Waveform Viewer/Editor

Accelerated Waveform Viewer

Scripts

IP Protection

Active-HDL Interfaces and Wizards

Documentation

Others

 

What's New in Previous Versions?

For more information about features and changes introduced to previous releases of Active-HDL, refer to the Active-HDL release history. See Help and Documentation Files for details.

 

Limitations of Student Edition

The Student Edition of Active-HDL 9.3 is delivered with the following limitations and changes:

Additionally, the following options and tools are not available or limited: